Intel’s Embrace of High-NA EUV Lithography and TSMC’s Reluctance Threatens to Flip the Prevailing Industry Supremacy Paradigm

Intel’s Embrace of High-NA EUV Lithography and TSMC’s Reluctance Threatens to Flip the Prevailing Industry Supremacy Paradigm

With Bernstein analysts calling on their clients to abandon Intel until at least 2030, the erstwhile behemoth in the chipmaking sphere is at a cyclical nadir and is expected to remain there for the next few years as it continues to lose revenue and surrender its margins. Yet, TSMC’s immediate reluctance to adopt the latest lithography tech opens a narrow window for Intel to regain its lost glory. Intel intends to experiment with High-NA Extreme Ultraviolet (EUV) lithography within the parameters of its upcoming 18A (1.8 nm) process node before formally incorporating it into its 14A (1.4 nm) manufacturing process. […]

Read full article at https://wccftech.com/intel-embrace-of-high-na-euv-lithography-and-tsmc-reluctance-threatens-to-flip-the-prevailing-paradigm/

Leave a Reply

Your email address will not be published. Required fields are marked *